module ram(
address , // Address input
q , // Data output
qin ,// Data input
we //write enable
);
input [15:0] address;
output wire [7:0] q;
input [7:0] qin;
input we;
reg [7:0] mem [0:255] ;
//reg [7:0] q;
reg [7:0] data_out;
//$readmemb("memory.list", mem); // memory_list is memory file
assign q = (!we) ? data_out:8'bz;
always @ ( address or we)
begin
if (we)
begin
mem[address] = qin;
end
else
begin
data_out = mem[address];
end
end
initial
begin
$readmemh("init.dat",mem);
end
endmodule