Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to initialize look up tables ?

Status
Not open for further replies.

mikeos84

Newbie level 2
Joined
Jun 1, 2006
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,298
look up tables

I'm using a virtex 2 pro board to implement a time critical algorithm. I need to use look up tables to store data... and need to know how to initialize them. can anyone help me??? I've done a lot of reseach but cpuldn't find anything...
 

look up tables

you can find any example on ROM using
 

look up tables

u should build a data file ,then corelate it to ur RAM module.
 

look up tables

I think you need use Altera's LPM.
 

look up tables

With ISE's core generator, you can fit one Look up table easily. Just like to build one ROM.
 

look up tables

Why Altera?

"my_garden" is right. By means of ISE Core Generator you can build a ROM (based in BRAMs, LUTs,...) and you will be allowed to associate a ".coe" file that will generate the HW macros and a ".mif" (memory initialization file).

You can also do it by hand, but this is an easier way.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top