How to include a file to use the various tasks in my testbench ?

Status
Not open for further replies.

balasub

Member level 1
Joined
May 15, 2007
Messages
36
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,503
verilog tasks

--------------------------------------------------------------------------------

hi,
i have a verilog file containing the various tasks....

How do i include this file to use the various tasks in my testbench..

this file also has tasks which are dependent on the state of a signal inside the BFM.
 

verilog tasks

how about 'include ? i think this works
 

Re: verilog tasks

`include "full\path\name.v"
like the above example use ur design to include task

note all the task present iiside the module
 

verilog tasks

hi send some more detail data regarding task `include
 

verilog tasks

venkatesankalidass wrote that.

it's the exact trick in Verilog I like most.
with `include one can write 10k lines of codes in single module without tiring out scrolling ultraedit..

i dream vhdl has that too.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…