Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to implement the matrix inverse in verilog

Status
Not open for further replies.

jacobus

Newbie level 5
Joined
Jan 11, 2006
Messages
9
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,376
verilog matrix

Hello, everyone
I have 64 successive channel matrix H1, H2..H64
And in my algorithm, I need to find the inverse of each matrix Hi in verilog on FPGA before esitimating the channel quality in the following

I have read some papers, like using the trace of the matrix to get the approximated pseud inverse of the matrix, but it is really resource consuming.
Is there any better method or the most frequently used method about this problem?

thanks in advance!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top