Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to give the 16 bit input on fpga kit on spartan 3E??????

Status
Not open for further replies.

abhi_459

Member level 3
Joined
Jan 22, 2006
Messages
57
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
chd india
Activity points
1,644
how to give the 16 bit input on fpga kit on spartan 3E.
can anyone tell me plz......
 

"give the 16 bit input"? Please clarify your question.
 

Re: how to give the 16 bit input on fpga kit on spartan 3E??

in my entity inputs are 16 bit std_logic_vector.and in spartan 3E kit only 8 switches are avaliable for 8 bit input,....so how can i give the input which is greater than 8....
 

Re: how to give the 16 bit input on fpga kit on spartan 3E??

Does the board have expansion connectors?

If so, attach extra switches to the board using the expansion connectors.
 

Re: how to give the 16 bit input on fpga kit on spartan 3E??

hi,

tkbits has right. You can attach to j2 connector(4 inputs) and j1 conector(4 inputs) extra swithes or buttons.


B.
 

Re: how to give the 16 bit input on fpga kit on spartan 3E??

if you use xilinx then in source window keep implementation /synthesis then in process window design utilities click the + mark. and give assign package pins.if you have spartan 3e kit manual there will be pin no for switches. enter the no in window which is opened while clicking yhe assign package pins.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top