How to get SAIF file ?

Status
Not open for further replies.

alpacinoliu

Member level 3
Joined
Nov 14, 2004
Messages
58
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
464
I am studying primepower of synopsys! Question is how to get saif file ! Anybody maybe give me some specs about saif!

thank you in advance
 

vcd to saif

dump vcd from netlist simulation convert vcd to saif using synopsys utility "vcd2saif"
 
how to generate saif file

You can also use simulators supporting Synopsys PLI interface for SAIF generation like NCverilog, VCS, ModelSim.
 
saif vcd

ur SAIF is prime source of generating dynamic power estimation for ASIC. Test stimulus must be proper for getting a near real power estimation. once simulation is done, dump the VCD file and convert VCD to SAIF using vcd2saif and input this to primepower along with netlist for getting the power estimation.
 
saif ncverilog

Arik said:
You can also use simulators supporting Synopsys PLI interface for SAIF generation like NCverilog, VCS, ModelSim.

whether I need to configure simulators, Ncverilog or not , how to configure it !
thanks for your replying

Added after 6 minutes:


when to estimate static power , how to generate the saif file?
 

synopsys saif

For static power analysis, SAIF is not a necessary input.
------------------------------------------------------------------------

Leakage power report depends on the library(.lib) used,
if the leakage power in the library is not state-dependent,
then no SAIF is needed.
-------------------------------------------------------------------------

However, if the value of cell leakage power is state-dependent
in the library, then the SAIF used for dynamic power analysis
can be also used for leakage power analysis.
 
Reactions: Morell

    alpacinoliu

    Points: 2
    Helpful Answer Positive Rating

    Morell

    Points: 2
    Helpful Answer Positive Rating
modelsim saif

AFAIK except VCS all other simulators that support the PLI interface need to be configured in terms of giving them an additional parameter which shows the path to PLI library file. For example for NCverilog on SUN platform you need to add the following command-line parameters when invoking NCverilog:

ncverilog +loadpli1=$SYNOPSYS/auxx/syn/power/vpower/lib-sparc64/libvpower.so:saifpli_bootstrap

where $SYNOPSYS is an env. variable containing path to your synopsys tools directory.
 
vcd or saif format

thanks for all reply aboved

anybody can post a script on pp involving static and dynamic analysis , which is a plus for me

Added after 9 minutes:


For dynamic analysis , whether I only read into vcd or saif files . are sdf or spf files necessary ?
 

vcs pli saif



That's correct for Synopsys PLIs availble for Simulators to produce *.saif.
For details how to do this:
 

please how can i use vcd2saif !!

help pleaase
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…