how to Generate the SIGNAL NAMES alone in CADENCE 16.5

Status
Not open for further replies.

RJJB

Newbie level 6
Joined
Nov 23, 2011
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,291
Location
Chennai., TN., INDIA
Activity points
1,380
hai to all.,

i need a Help.,

--> Is it possible to Generate the SIGNAL NAMES alone in DESIGN ENTRY CIS as like the netlist...??

--> Is there any option available in Either DESIGN ENTRY CIS or ALLEGRO DESIGN ENTRY HDL to GENERATE SINGLE PIN -- NETLIST ??

--> How to Generate and View the NETLIST in ALLEGRO DESIGN ENTRY HDL??


pls help me to Resolve this Problem....
 

Hi,
goto Tools> Reports> Netlist Reports.




Hope this is helpful

Shashi
 
Reactions: RJJB

    RJJB

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…