Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to extract Netlist in Synopsys Synthesizer?

Status
Not open for further replies.

firozjdang

Junior Member level 2
Joined
Feb 15, 2009
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,419
I have performed simulation in VCSMX and Synthesized the code using Synopsys DC, What are the commands required form here on to generate the netlist (Toshiba Library)?
 

To write out a netlist in DC, just do:

write -format verilog -hierarchy -output netlist.v design
 

    firozjdang

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top