How to extract Netlist in Synopsys Synthesizer?

Status
Not open for further replies.

firozjdang

Junior Member level 2
Joined
Feb 15, 2009
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,419
I have performed simulation in VCSMX and Synthesized the code using Synopsys DC, What are the commands required form here on to generate the netlist (Toshiba Library)?
 

To write out a netlist in DC, just do:

write -format verilog -hierarchy -output netlist.v design
 

    firozjdang

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…