Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to dump fsdb in ncsim?

Status
Not open for further replies.

dreamscome

Junior Member level 2
Joined
Apr 12, 2004
Messages
23
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
138
ncsim fsdb

I was trying to dump fsdb in ncsim by using the cmd "ncverilog +loadpli1=${DEB_PLI_FILE}:debpli_boot xxx"

But I got this error:
ERROR: ACC PLISVG
The routine acc_object_of_type() cannot be applied to an object of type <unknown>. Use the SystemVerilog VPI instead (Clause 27, IEEE Std 1800-2005).

Anyone has seen this error before? How to fix it?
 

ncverilog fsdb

Have you tried...

Code:
initial
   begin
      $fsdbDumpfile("padtestt.fsdb");
      $fsdbDumpvars;
   end
 

ncsim dump fsdb

Yes, I tried this. I guess it's some kind of PLI setup error.
 

ncverilog dump fsdb

dreamscome said:
Yes, I tried this. I guess it's some kind of PLI setup error.

From the error message it looks like you have some SystemVerilog code and are trying to dump them using $fsdb*. If so, make sure you use the latest NC & Novas tools. Read:

**broken link removed**

Specifically you need to use:

$NOVAS_HOME/share/PLI/nc54/<platform>/nc_shared/*.so file for linking.

HTH
Ajeetha, CVC
www.noveldv.com
 

    dreamscome

    Points: 2
    Helpful Answer Positive Rating
fsdb dump in ncverilog

Cool. This solved my problem.
Thanks man...

aji_vlsi said:
dreamscome said:
Yes, I tried this. I guess it's some kind of PLI setup error.

From the error message it looks like you have some SystemVerilog code and are trying to dump them using $fsdb*. If so, make sure you use the latest NC & Novas tools. Read:

**broken link removed**

Specifically you need to use:

$NOVAS_HOME/share/PLI/nc54/<platform>/nc_shared/*.so file for linking.

HTH
Ajeetha, CVC
www.noveldv.com
 

how to dump fsdb in ncverilog

You have to load the PLI at the beginning of your simulation.. Refer to help of novas..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top