Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to do pin assignment for Virtex 4 FPGA?

Status
Not open for further replies.

triump.ar

Junior Member level 2
Joined
Dec 9, 2007
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,440
how to do pin assignment for virtex 4 fpga...i m not getting how to assign pins...
 

pin assignment

Are you asking how to choose I/O pins when designing your PC board hardware, or how to specify pin numbers in your ISE project?

If ISE, then you have various ways of specifying pins depending on your chosen work flow. Try starting with section "Assigning Pin Location Constraints" in your "ISE Quick Start Tutorial", qst.pdf. Also see "LOC" in your ISE "Constraints Guide", cgd.pdf.
 

    triump.ar

    Points: 2
    Helpful Answer Positive Rating
Re: pin assignment

i want to know how to assign pins to my hdl design...
 

Re: pin assignment

If you are using Xilinx Project Navigator Synthesis tool ,use assign package pin option given under user constraint title.
Same technique use with respect to other tool.
But don't forget that u should also be having given FPGA specification manual.
 

Re: pin assignment

There are two ways to do this.
1. Text based pin constrains specified in UCF (User Constraints file)
you can refer to xilinx docs about the format
2. Use PACE utility (Pinout and Area Constraints Editor) in the Xilinx ISE .WHich simplifies the pin assignment using its GUI and takes care of generating ucf.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top