how to display 8 decimal(each with 4 digit) numbers using the FPGA....?

Status
Not open for further replies.

anilkumar111

Newbie level 3
Joined
Mar 14, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,301
how to display 8 decimal(each with 4 digit) numbers using the FPGA....

wich one is the best interfacing technique, to display these 8 decimal numbers (each 4 digit)......?

These 8 ouputs are parallel ouputs generated after simulation......

which one is the best to show those outputs on fpga?

am new to fpga..
any interfacing is required? if so then which kind of interfacing is needed?
what are the display device or interfacing devices(ports) or i/f standards are needed ?

Thanks for reply.....
 

Attachments

  • testtop24.jpg
    253 KB · Views: 96

if you need to display them as decimal, you will have to write a binary -> BCD converter. Then you will need a 7-segment decoder.
 
if you need to display them as decimal, you will have to write a binary -> BCD converter. Then you will need a 7-segment decoder.

but can i display 8 outputs at a time parallely........?
 

should be no problem as long as you have enough spare IO pins for the 7 segs (or view them on an lcd).
 
Reactions: zel

    zel

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…