Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to define an internal clock in DC?

Status
Not open for further replies.

liwei039

Newbie level 6
Joined
May 9, 2007
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,355
clock mux

I just want to define an internal clock in DC. this clock is come from a mux of two input clock then through a combination logic.

should be use create_generate_clock? how to use it?
 

yep use create_generated_clock command
 
the two clocks work coinstantaneously or not?

in either situation i dont think it's needed to define a clock.
 

As you said, the clock is an output of mux, basically i would use a case analysis on the select signal and select which ever clock u want for the optimization, its a better scenarion in your case.

if you have two clocks lets say clk_a and clk_b as inputs to mux, clk_a is connected to select(0) pin of mux and clk-b is connected to select(1) of mux, if you want to optimize the block with worst scenarion where u feel the block can be made robust, then select a high speed clock.

set_case_analysis 0 [get_ports select]
// assumed mux select to be input or define the hierarichal path and now ith above command, it will select clk_a for the analysis
 
sometimes you may want to define a new clock at the mux output, so a new clock tree can start from the new clock. For synthesis it does not a difference, but it maybe useful for clock tree synthesis.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top