Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to decide whether to use CPLD or FPGA?

Status
Not open for further replies.

amitgangwar_vlsi

Member level 5
Joined
Jul 6, 2007
Messages
88
Helped
10
Reputation
20
Reaction score
2
Trophy points
1,288
Location
Pune,India
Activity points
1,913
hello everybody.....

can anyone tell me that.....
how can i decide that i have to use CPLD or FPGA for a particular application????

plz if anyone can tell....explain me in detail.........

many thanks in advance

AMIT GANGWAR

amitgangwar2006@yahoo.co.in
 

CPLD and FPGA

Generally complex complex programmable gate array is FPGA so whenever u want to go for a complex program then u can go for FPGA
and whenever u wan to do a simple programmes then u can go for CPLD
remember CPLD is a device and FPGA is an array that is field programmable gate array that is why most of the people prefer FPGA rather than CPLD
Is it clear now
Bye take care
 

Re: CPLD and FPGA

thanks for so early response......
i ant to continue conversation with you....

you told that we use CPLD for simple programs.....

does CPLD not use in industry????
these are only for eduational purposes!!!!!!!!!!

plz clear my doubts...

thanks in advance

AMIT GANGWAR

amitgangwar2006@yahoo.co.in
 

CPLD and FPGA

The main difference is the amount of resources available.

A CPLD offers some combinatorial logic and few tens/hundres of flip-flops. The number of inputs/outpus is also reduced.

CPLDs are still used in the industry, they are a good solution for small problems, i.e. address decoding in a bus, simple sequencers, etc. CPLD's packages are small and do not need any other device for configuration (non-volatile techonology).

A FPGA is a device including many resources: flip-flops, look up tables (LUTs) for combinatorial, embeded dual-port ram, DSP blocks, high speed serial interfaces, microprocessors,...

FPGAs can embed a whole system (that is called SystemOn Chip).

SRAMs FPGAs are volatile and need a device containing its configuration.

It is very difficult to summarize, my advice is to start by counting the number of flip-flops you need unless you don't have a requirement such as mathematical processing, complex control and so on.

Anyhow, if you need any further help do not doubt in asking again.
 

Re: CPLD and FPGA

That's right.
Yet another type of simpler device is still in use.That is PAL The programmable array logic.It is tiny programmable AND, fixed OR gate logic device that is useful for glue logic in most of embedded systems.I use Lattice GAL16v8 device for my design.More about is available at

http://www.latticesemi.com/products/cpldspld/gal.cfm?jsessionid=ba30acec0484X$7B$CF$
 

CPLD and FPGA

hi
CPLDS have the more combinational logic and few FF is there,it is the cheaper and EEPROM technologies
FPGA is the more combinational logic and FF s,and it is the coster and SRAM technologies

vamsi
 

CPLD and FPGA

the price is a important facctor for your decision.amitgangwar_vlsi have give a good explantation based on techology
 

Re: CPLD and FPGA

for the student purpose cpld is sufficient and it all depend upon the gate count of u r design and u should be in a position to estimate the gate count one flip flop will take 3-4 gates
 

CPLD and FPGA

depends on the volumn of your logic...
 

Re: CPLD and FPGA

FPGAs are very rich in flipflops. if your desing contains many registers then you have to go for fpga.

in terms of large combinational ckts, cplds are more efficient than fpgas.
 

CPLD and FPGA

explore.kw.ac.kr/~baba/download/
 

CPLD and FPGA

CPLDs are good at componential logic and they needn't config when power up. because of the product terms the speeds of CPLDs are fast and routing delays are predictable, but this resource is not enough to express complex function. They usually are used as conctoll logic with simple state shifting. I have failed to use a CPLD XC9572XL to config IIC interface of SA7113(a video AD), because a lot of states need so much FFD.
SRAM-based FPGAs are powerful to implement all kinds of function. But they need a period of time for configuration after power-up. So if you want to use it to control other chip, you must carefully consider relationship among these chips' reset singals
 

Re: CPLD and FPGA

CPLDs are being used in industry. Everything that needs to perform specific operation and can fit on CPLD - goes on CPLD.
 

CPLD and FPGA

I think it base on the application u want to work.
CPLD logic cell is not much as FPGA so when you want to develop something big CPLD can't.
Do with FPGA
 

Re: CPLD and FPGA

Whether it is known to you any means similar Xilinx's FPGA Editor, for creation manually and editing of internal circuit SPLDs (GAL16v8 etc.). It is necessary for me for educational process - studying of structure SPLDs. It is desirable that it was free from cost.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top