How to convert decimal number into binary

Status
Not open for further replies.

xilinx1001

Member level 3
Joined
Apr 3, 2013
Messages
60
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,781
Hi,

How to convert integer value into decimal in VHDL.

I am trying to use conv_std _logic _vector

But I am getting some error like below:

Code:
Undefined symbol 'conv_std_logic_vector'.
 conv_std_logic_vector: Undefined symbol (last report in this block)

How can I solve the problem?.

Regards
xilinx1001
 

With just seeing the error it is hard to guess the error in the code.
Here is a snippet from working code as a syntax check:
tmp <= conv_std_logic_vector(conv_integer(A),9);
Be sure to include the required library.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…