How to connect Verliog testbench toa VHDL RTL.

Status
Not open for further replies.

veer110

Newbie level 3
Joined
Nov 15, 2008
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,300
Hi Friends,

Can anyone help me to understand how to connect Verilog TestBench to a VHDL RTL.

It would be great if you can help me with a example.

Thanks,
- Veeresh
 

Exactly as Verilog RTL:

Code:
entity ANDGATE is
  port ( 
    I1 : in std_logic;
    I2 : in std_logic;
    O  : out std_logic);
end entity ANDGATE;
 
architecture RTL of ANDGATE is
begin
  O <= I1 and I2;
end architecture RTL;

module tb;
reg I1,I2;
wire O;

ANDGATE DUT (.I1(I1), .I2(I2), .O(O));  //  ANDGATE DUT (.*);

endmodule
 
In addition, your simulator license must include mixed language simulation.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…