How to check if a signal is toggling after some time in simvision TCL command

Varun124

Junior Member level 3
Joined
Jul 3, 2019
Messages
28
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
224
Hi
I was working in simvision environment and I was developing to script to check whether a signal is toggling after some time. Could you please let me know if there are TCL command in the simvision console.
I was using waveform values -at time signal but it was displaying one value
 

you can control simulation via script. run 10ns, probe signal, run another 10ns, probe signal, compare.
you can also do it in verilog testbench.
 

Cookies are required to use this site. You must accept them to continue using the site. Learn more…