how to aquire system time in verilog?

Status
Not open for further replies.
You probably have to use the PLI and call a C function for that.
 

    tarkyss

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…