How multisource error are removed in vhdl code?

Status
Not open for further replies.

smartshashi

Member level 4
Joined
Aug 11, 2004
Messages
68
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
513
vhdl multi source

I am working on processor in vhdl code.I am facing multisource error while interfacing with memory. Can anybody suggest how to solve multisource error in vhdl code.I am attaching file with query.
 

I have done correction in ur code.... See the attached code here...
In case you have difficulty post ur questions here!
Hope this helps
 

I havent looked at your document but check if you are assigning some signals in multiple processes and if that is what you intended.
 

Hi smartshashi,

I've looked at your design and have some advice for your mem component :
- do not use inout internal signal, use a data_in bus and a data out bus
- in your process, try to write a code with if ... elsif ... else ... end if, instead of data<= xxxx; if ... then data <= yyyy; end if; if ... then data <= kkkk; end if;


I hope this will help you
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…