how can we reduce IOB in FPGA

Status
Not open for further replies.

ammassk

Member level 2
Joined
Jul 19, 2012
Messages
43
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,606
dear all
can some one tell me what's the use of IOB in FPGA, In my project after synthesis (ISE), in the design summery, it is showed that 111% of IOB used,
secondly how can i reduce IOB usage?
 

IOB = input/output buffer -- the physical pins on the part. This issue occurs because the tools will default to automatic IOB insertion. There should be synthesis options to disable automatic IO insertion for the purposes of making an IP core.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…