How can i read a text file inut in verilog

Status
Not open for further replies.

sagar_saga01

Newbie level 5
Joined
Mar 10, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,343
where can i see the commands or sytax which r usefull to read the text data in the verilog code.
I am working on a datacompression architecture in which i have to give input as a text file.
How i can read it
Please reply
Thanks
Sagar
 

sagar_saga01 said:
where can i see the commands or sytax which r usefull to read the text data in the verilog code.
I am working on a datacompression architecture in which i have to give input as a text file.
How i can read it
Please reply
Thanks
Sagar

Do a google code search for $fopen, $fgets/fgetc/fscanf on Verilog.

Ajeetha, CVC
www.noveldv.com
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…