How can I generate a VCD file?

Status
Not open for further replies.

Mamdouh

Member level 1
Joined
Feb 20, 2010
Messages
34
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,288
Location
Egypt
Activity points
1,490
VCD file

How can I generate a VCD file?
 

Re: VCD file

Mamdouh said:
How can I generate a VCD file?

Hi,
Inorder to generate a vcd file , you have to use following key words in your test bench file ... ie <testbench top filename.v>:
type :


initial
dumpfile("module_name.vcd");
initial
dumpvars();

If you simulate with this logic you will surely get the vcd file.
 
Reactions: njr@1

    njr@1

    Points: 2
    Helpful Answer Positive Rating
open terminal and load ur verilog file (cd /cad ...cd <filename>)
then type
"vcs <pathname> <pathname of testbench> + v2k -debug_all
`/simv-gui"
waveform is generated
right click on the schematic,add to wave simulator_start

then .vcd file is generated
 
Reactions: njr@1

    njr@1

    Points: 2
    Helpful Answer Positive Rating
$dumpvars;

Paste this in ur test bench
 


how can i write these lines in vhdl format

Added after 1 hours 4 minutes:

to be specific i want to generate vcd file from testbench.vhd using xilinx ?
 


Hi,
Please see the section 11 of the attached pdf. All your issues will be resolved.
 

first thanks very much i used the commands in the manual and here's the generated vcd file i know there's something wrong but i cant figure it out??


$comment
File created using the following command:
vcd file ana.vcd -dumpports

$end
$date
Thu May 06 22:35:44 2010
$end
$version
dumpports ModelSim Version 6.5
$end
$timescale
1ps
$end
$vcdclose
#1000
$end
 


Hi,
Please let me know the issue you are facing.
 


It looks like there was no activity on your signals hence the VCD file is empty of "value changes". Did you see a waveform in Modelsim? If so do you have a vsim.wlf file created? If yes you may also use

Code:
wlf2vcd (or something similar)

to create VCD out of WLF file.

Regards
TeamCVC
www.cvcblr.com/blog
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…