How can I design a gray code counter using Verilog?

Status
Not open for further replies.

vivek_p

Advanced Member level 4
Joined
Feb 16, 2010
Messages
115
Helped
10
Reputation
24
Reaction score
9
Trophy points
1,298
Activity points
2,009
Gray Code counter

How can I design a gray code counter using Verilog without using a binary-gray converter?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…