library ieee;
use ieee.std_logic_textio.all;
library std;
use std.textio.all;
architecture xxxx of yyyy is
file fin : text open read_mode is "<path to your hex file>";
process(zzzz)
variable rdline : line
variable hex : std_logic_vector(3 downto 0);
begin
while not endfile(fin) loop
readline(fin, rdline);
hread(rdline, hex);
end loop;
end process;
I guess google will also find some examples of reading files.