help with a simple text lcd display VHDL code

Status
Not open for further replies.

shilongo83

Newbie level 6
Joined
Apr 27, 2009
Messages
12
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,283
Activity points
1,378
simple lcd display

Hi! guru.
I'm a challange to FPGA design.

Can anyone write the simple VHDL code to display text on 16character 2line Text LCD for me?

I am learning VHDL and I have a UP2 Development board, using FLEX 10K CPLD.
I want to implement and learn FPGA.

I studied Microcontroller and I implemented a project of that nature but now with VHDL its just too complicated for me.

I am learning this just for my own sake and not school or any institution, I am encouraged to learn because in our school none of the lecturers knows this program so I feel it can be an opportinuty for me to learn it and ask them to be added to the curiculum and I will take it as a tutor. So far I have a basic f doing small programs, I even did VGA program on my own.

Thank you in advance

Added after 4 minutes:

I mean Altera UP2 Development board, using FLEX 10K CPLD.
 

text lcd display

The specific board is:
Altera UP2 educational board, Flex10k20RC240-4 CPLD, the make No. is DBA560001
 
Reactions: h_bey

    h_bey

    Points: 2
    Helpful Answer Positive Rating
Re: simple lcd display


Greetings,

From DE2 board i just did this "conversion" for use it with UP2 Board...

Try this, any help, just ask

Best regards
 

Attachments

  • UP2_CLOCK.rar
    355.7 KB · Views: 97

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…