Help me implement matrix multiplication in Verilog

Status
Not open for further replies.

vinodkumar

Full Member level 5
Joined
Oct 5, 2006
Messages
251
Helped
12
Reputation
24
Reaction score
3
Trophy points
1,298
Location
hyderabad
Activity points
2,822
hi
so far i designed only digital circuits like encoder etc using verilog.but now i would like to implement some appli like matrix multiplication.plz help me in this regard.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…