Generation of SOF file in Quartus Eval Edition

Status
Not open for further replies.

kalyansrinivas

Advanced Member level 4
Joined
Jul 7, 2007
Messages
100
Helped
5
Reputation
10
Reaction score
4
Trophy points
1,298
Activity points
1,910
Hi all
I am learning NIOS II so for that i downloaded Quartus II-9.0 from altera website and tried to create a model .But i couldnt generate the .SOF file at the end to port it onto H/W as it is an evaluation model.

So tell me a way to overcome the problem as i want to port the SOF file on board and test the response from NIOS processor

Regards
 

I dont thnk EVAL versions of the tools allow you to generate SOF files and program FPGA's.. YOu need to get a fully functional license for the tool if you want to generate SOF files and program FPGAs.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…