Generating Functional Coverage in Cadence ncsim

Status
Not open for further replies.

prachi_patel

Newbie level 1
Joined
Jan 20, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
In my code, I have created covergroup and coverpoints. I sample these coverpoints on a certain event. To generate functional coverage, I have passed the argument as +nccoverage +all

Using iccr cmd, I tried to view the report, but could not see any report of functional coverage. I am using ncverilog version 10.20.

Could anyone let me know the correct command for generating the funtional coverage?

thanks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…