For (i=8; i>=0; i = i - 1) from verilog to VHDL

Status
Not open for further replies.

karper1986

Member level 2
Joined
Mar 13, 2009
Messages
49
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,715
For from verilog to VHDL

Hi! I have a simple question -- how i can express these --> for (i=8; i>=0; i = i - 1) from verilog into VHDL? Thanks.
 

For from verilog to VHDL

Usually we will use (VHDL)
for i in 0 to 8 loop
Am not tried the below logic

for i in 8 downto 0 loop
 

    karper1986

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…