floating point in verilog code

Status
Not open for further replies.

siva_7517

Full Member level 2
Joined
Jan 16, 2006
Messages
138
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,401
Hi,

How can i present flloating point data output in verilog. Example the value of data output is 0.701.
Thanx

Siva
 

Here is Hint. Use real type. If you want to output real on ports use
$realtobits and $bitstoreal functions.
Hope this hint helps
 

h**************p://www.eda.org/fphdl/**********i think this will be helpful for you
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…