Finding critical path in a combinational block

Status
Not open for further replies.

souvikedaboard

Newbie level 6
Joined
Feb 28, 2015
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
75
Hey all,
I was just wondering if I can find out the critical path of a big combinational circuit using Synopsys Primetime or any other CAD tools?

Thanks
 

In general I would expect DRC and Monte Carlo methods will determine critical timing and path length issues. Critical path (shortest latency) results should come out of a manual or auto generated set of test vectors in combinational and sequential table and generate the results which could be sorted. But I offer no step by step solution on each.
 

In CAD tools, there are commands for reporting the critical nets. In Cadence EDI tool, i think the command is reportCritNet.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…