thanks sir..
sir,this is my code.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_textio.all;
use std.textio.all;
entity test1 is
end entity test1;
architecture beh of test1 is
file indata :text open read_mode is "D:\VLSI\vlsi project\AV00 _samplecode\draw\filehandling\indata.txt";
signal c:integer;
begin
process
variable buff : line;
variable a : integer;
begin
while not endfile(indata)
loop
readline(indata,buff);
read(buff,a);
c<=a;
wait for 100 ps;
end loop;
wait;
end process;
end beh;
input text file:
1 4 7
2 5 8
3 6 9
my output c= 1, 2, 3
but i can't get 4,5,6,7,8,9.
so, how can i move the pointer to next colom for reading remaing data ?