error while synthesising a vhdl code in ISE 6.4

Status
Not open for further replies.

s3034585

Full Member level 4
Joined
May 24, 2004
Messages
226
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,296
Activity points
2,087
Hi guys
i am trying to synthesis a vhdl code in ISE 6.1. while synthesising i am getting a error saying "Vhdl Compiler exited with errors" and says that synthesis has stopped. can any one pls tell me wht this error means.

thanks in advance
 

either some files are missing or there is syntax error.
 

Post your code. It looks like synthax error in your code.
 

Look for other error messages.
 

the line before this error message, you'll find the exact reason and the line of this error occurence...
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…