Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Error vsim-8630: Infinity result from division operation

Status
Not open for further replies.

nervecell_23

Member level 1
Joined
Apr 26, 2013
Messages
38
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,565
When I ran a testbench in modelsim I've got vsim-8630 error referring to a line: result = A/B + C/D;
A,B,C and D are 'real', A = 17396, B = 186, C/D=1, therefore the result does have an infinite result.
Although in modelsim this error was reported, correct result was shown in waveform with the value being rounded to four decimal number (94.5269) and the insertion of error did not interrupt the simulation.

Is it safe to ignore this error? If not, where should I start to resolve this error?
Thanks
 

When I ran a testbench in modelsim I've got vsim-8630 error referring to a line: result = A/B + C/D;
A,B,C and D are 'real', A = 17396, B = 186, C/D=1, therefore the result does have an infinite result.
Although in modelsim this error was reported, correct result was shown in waveform with the value being rounded to four decimal number (94.5269) and the insertion of error did not interrupt the simulation.

Is it safe to ignore this error? If not, where should I start to resolve this error?
Thanks

Post the code so we don't have to guess...but my guess is that either B or D is a signal and that the error is reported at t=0. One simulation delta later B or D then went to the appropriate value and therefore so did the computation. Drag A, B, C, D and result to the Modelsim list window to investigate it further for yourself to see what the cause of the error might be.

Kevin Jennings
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top