error: :Route:455 - CLK Net:XLXI_29/divided_clock may have excessive skew because

Status
Not open for further replies.

keremcant

Member level 5
Joined
Dec 25, 2009
Messages
87
Helped
6
Reputation
12
Reaction score
5
Trophy points
1,288
Activity points
1,910
hi,
I draw a circuit in schematic editorc in xilinx and it has a clock. but when I try to generate programming file for fpga, I get this warning:

WARNING:Route:455 - CLK Net:XLXI_29/divided_clock may have excessive skew because 0 CLK pins and 1 NON_CLK pins failed to route using a CLK template.

and than my circuit does not function on the fpga. I guess this is a basic warning, since I am a basic learner and my circuit is a small and not complicated. but why do I get this warning?
thanks.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…