[SOLVED] ERROR: HDLParsers:3312

Status
Not open for further replies.

femystika08

Newbie level 5
Joined
Dec 10, 2012
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,330
Here's the top-level architecture I'm trying to synthesize.


When I synthesize a VHDL design, the VHDL parser reports one of the following errors:


Thanks for your help.
 

When instantiating a component you need to either:
1. Use direct instantiation
2. Add a component declaration.

You have done neither.
 
Oh my... Jeez. How could I have missed that.
I'll fix that and get back to you. Thanks!
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…