Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Encounter tcl commands

Status
Not open for further replies.

cyrax747

Full Member level 3
Joined
Nov 8, 2012
Messages
167
Helped
13
Reputation
26
Reaction score
11
Trophy points
1,298
Location
Bangalore
Activity points
2,494
Hi all

I need soc encounter tcl commands to run my flow from netlist to gds. Could you please help me regarding this??
 

In the Encounter Manual you will find all the commands you need. There will also be a sample TCL script. Use that script as a base script and then customize it as per your design flow.
 

Hi,

Are u talking about user guide , if so i have one.Can you be more specific exactly which portion i have to look in to ??
 

In most cases there are two docs, an user guide and a command reference. It seems to me that you would need the command reference guide.

Browse through the installation dir. of Encounter and there should be a folder named /doc. Else as per your company policy it might be in a special docs section on a common server.
To save time ask the tool admin person of your company or your team-lead may know it. :)
 

1> I don't work with Cadence tools suite right now.
2> Even if I would have the doc, as per the forum rules, it is forbidden to share copyrighted materials.

I cannot comprehend a good reason why someone from your team will not give you the info where such a document is located!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top