Sep 5, 2007 #1 D donald007 Newbie level 6 Joined Aug 28, 2007 Messages 11 Helped 1 Reputation 2 Reaction score 0 Trophy points 1,281 Location TAIWAN Activity points 1,336 Hi all, I am trying writing a SVA to check one interface on a IP. But I get a compile error in the line "logic [10:0] v_page_adr;". I use "ncverilog +sv". Do you know ncverilog support "local variable"? Thanks. property p_linkpg; logic [10:0] v_page_adr; @(posedge clk) A |-> B; endproperty
Hi all, I am trying writing a SVA to check one interface on a IP. But I get a compile error in the line "logic [10:0] v_page_adr;". I use "ncverilog +sv". Do you know ncverilog support "local variable"? Thanks. property p_linkpg; logic [10:0] v_page_adr; @(posedge clk) A |-> B; endproperty
Sep 10, 2007 #2 A aji_vlsi Advanced Member level 2 Joined Sep 10, 2004 Messages 643 Helped 85 Reputation 170 Reaction score 12 Trophy points 1,298 Location Bangalore, India Activity points 4,944 Re: Does ncverilog support "local variable" in pro donald007 said: Hi all, I am trying writing a SVA to check one interface on a IP. But I get a compile error in the line "logic [10:0] v_page_adr;". I use "ncverilog +sv". Do you know ncverilog support "local variable"? Thanks. property p_linkpg; logic [10:0] v_page_adr; @(posedge clk) A |-> B; endproperty Click to expand... WIll be surprised if it didn't in latest release(s), which version do you use? ncsim -version?? Also consider posting at cdnusers.org Ajeetha, CVC www.noveldv.com
Re: Does ncverilog support "local variable" in pro donald007 said: Hi all, I am trying writing a SVA to check one interface on a IP. But I get a compile error in the line "logic [10:0] v_page_adr;". I use "ncverilog +sv". Do you know ncverilog support "local variable"? Thanks. property p_linkpg; logic [10:0] v_page_adr; @(posedge clk) A |-> B; endproperty Click to expand... WIll be surprised if it didn't in latest release(s), which version do you use? ncsim -version?? Also consider posting at cdnusers.org Ajeetha, CVC www.noveldv.com