Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Does ModelSim 6.1 support System Verilog code?

Status
Not open for further replies.

vjm16

Junior Member level 2
Joined
Sep 19, 2007
Messages
21
Helped
5
Reputation
10
Reaction score
0
Trophy points
1,281
Activity points
1,395
Dear all,

Do ModelSim 6.1 f support System verilog code? Can I load and compile and simulate my design written in System Verilog?

Please help me as Iam new to System verilog.

Thanks in advance,
vjm
 

System Verilog

yes it supports
 

System Verilog

Hi,
Check the User Guide of ModelSim.
I know that version 6.0 and further of modelsim supports SystemC but I don't thing that this support System Verilog.

Regards,
Master_Picengineer
 

    vjm16

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top