Digital Voltmeter design on FPGA.

Status
Not open for further replies.

kohtet

Newbie level 4
Joined
Jul 12, 2014
Messages
5
Helped
1
Reputation
2
Reaction score
1
Trophy points
3
Activity points
32
Who can help me to do digital voltmeter on FPGA. I want to know which are the essential steps when i achieve to do my topic. I am also new about FPGA. Thank u !
 

I want to know which are the essential steps
Learn an HDL
Either VHDL or Verilog
 

is it enough to complete. Do u have any example file or code for this topic?
 
is it enough to complete.
No.
Do u have any example file or code for this topic?
No.

But once you know how to describe digital logic with HDL you'll be able to proceed with your task.
Then you'll need an ADC circuit with a digital output (I2C/SPI etc...) that's capable of positive and negative inputs (in the limits you want to measure) and an LCD screen to show the results.
 

Do u have any VHDL code for it ?
 

No I don't.
This may help you.
**broken link removed**
 

yes. it is. The pdf file for digital voltmeter with code, diagram, and testing. It's will be ok.
 

Did you look at the VHDL code? Scary.
A common question of beginner digital engineers: "what projects are better to implement on a uC and what on FPGAs?"
I think that a "digital multimeter" is a very good example for a project that will be MUCH easier (and cheaper) to do on a uC and not on an FPGA.

Kohtet.
With that said, if it's for learning purposes only - I encourage you to try and implement your design in Verilog/VHDL. You'll gain a lot of valuable knowledge/experience.
 

Now, i start doing on VHDL coding. This is my final year project. I think it has long time to study. Unfortunately, my university ask me to show the simulation on 3rd week of September. So, i am in trouble. That's why. The point is i have to do as fast as possible to code for Digital voltmeter on FPGA. Thank U guy. !! I appreciate all of your advices. THANK again. have a good day.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…