Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

dc_shell compile_ultra warning

Status
Not open for further replies.

sarah_st

Newbie level 4
Joined
Jan 7, 2008
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Canada
Activity points
1,322
compile_ultra

When I run compile_ultra I get this warning:
Warning: Failed to read dw_foundation.sldb for the compile command. (UISN-44)

Should I be concerned? Is my design still been optimized using compile_ultra settings or is it just a regular compile with high effort?

Thanks

More output below:

Information: Data-path optimization is enabled. (DP-1)
Warning: Failed to read dw_foundation.sldb for the compile command. (UISN-44)
Information: Evaluating DesignWare library utilization. (UISN-27)

============================================================================
| DesignWare Building Block Library | Version | Available |
============================================================================
| Basic DW Building Blocks | X-2005.09-DWF_0509 | * |
| Licensed DW Building Blocks | | * |
============================================================================
 

compile_ultra -retime

This is the description for the warning that's posted. This information can be obtained from solvnet.

DESCRIPTION
Compile ultra flow tried to read dw_foundation.sldb but failed to do so.
You are using the ultra optimization synthesis flow, but the DesignWare synthetic
library is not listed in synthetic_library list. This could result in sub-optimal
synthesis quality.
For compile_ultra command, dw_foundation.sldb is automatically added to the
synthetic_library in the current command. For set_ultra_optimization, if you do not
specify the ’-no_auto_dwlib’ option, then dw_foundation.sldb is automatically added
to the synthetic_library in the subsequent compile command. Until you set
set_ultra_optimization to be false or use ’-no_auto_dwlib’ option in the
set_ultra_optimization command.
You get this message because the compile command tried to read the
dw_foundation.sldb library but failed to do so.

WHAT NEXT
Add DesignWare synthetic library to your synthetic_library and link_library list and
make sure the dw_foundation.sldb is in the search_path.

My inference : I believe that even without this designware component, synthesis should work well.
 

compile_ultra vs compile

Thanks. you are right.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top