Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

DC Problem : Module contains unmapped components

Status
Not open for further replies.

omara007

Advanced Member level 4
Joined
Jan 6, 2003
Messages
1,237
Helped
50
Reputation
102
Reaction score
16
Trophy points
1,318
Location
Cairo/Egypt
Activity points
9,716
dft unmapped components

Hi Folks

I have a warning coming out of DC as follows:
Code:
Warning: Module regfile contains unmapped components.  The output netlist might not be read back into the system. (VO-12)

Why there are unmapped components ? .. and how can I map these unmapped ones ? .. what could be the reason for that warning ?
 

syndb-34

This warning is generated when the verilog write detect that there are references of SELECT_OP or GTECH components in this module. When finish compile, this warning maybe need not be cared.
 

select_op

horzonbluz said:
This warning is generated when the verilog write detect that there are references of SELECT_OP or GTECH components in this module. When finish compile, this warning maybe need not be cared.

I couldn't exactly get what you mean .. do you mean that although this warning may appear in the log, all module may not have any problem in being mapped ? ..
 

syndb34 synopsys

do u see this warning after compile command. followed by compile -incr
 

synopsys ummappped compoments

I only did the following 2 steps :
1. read_file -format vhdl file.vhdl
2. write -format verilog -hier -o netlist.v

and I got that warning at the end of the report.
 

unmapped netlist

Hi,
Before you read in the vhdl file you need to give the technology library to which you need to map the design. After mentioning that you need to compile and then you can write out netlist.

Correct me if i am wrong.


Thanks,
Nik
 

dw_foundation.sldb

For sure I have specified the tech. lib. After that I did the 2 steps I mentioned .. I guess read_file is itself the compilation step.
 

module contains unmapped design

omara, you havent used link command, read_vhdl command doesn't have in-built compile step, so u have to specify it explicitly, if you follow the belwo steps, u shouldn't see any problem, if so let me know

1. read_file -format vhdl file.vhdl
2. link
3. compile
4. write -format verilog -hier -o netlist.v
 

contains unmapped components

dcreddy1980 said:
omara, you havent used link command, read_vhdl command doesn't have in-built compile step, so u have to specify it explicitly, if you follow the belwo steps, u shouldn't see any problem, if so let me know

1. read_file -format vhdl file.vhdl
2. link
3. compile
4. write -format verilog -hier -o netlist.v

In my current synthesis script, I have effectively added link and compile commands, still I'm facing the same (module XYZ contains unmapped components)!!

Given that I have this error as well:
Code:
Error: Cannot find valid synthetic library module for operator 'MOD_UNS_OP'. (SYNDB-34)
 

read_vhdl problem

omara007 said:
dcreddy1980 said:
omara, you havent used link command, read_vhdl command doesn't have in-built compile step, so u have to specify it explicitly, if you follow the belwo steps, u shouldn't see any problem, if so let me know

1. read_file -format vhdl file.vhdl
2. link
3. compile
4. write -format verilog -hier -o netlist.v

In my current synthesis script, I have effectively added link and compile commands, still I'm facing the same (module XYZ contains unmapped components)!!

Given that I have this error as well:
Code:
Error: Cannot find valid synthetic library module for operator 'MOD_UNS_OP'. (SYNDB-34)


In my opinion , the MOD_UNS_OP must exist in your netlist when you read your netlist generated by synthesis tools, if it is true , pls check your syhthesis rpt to find the warning and the cause.
 

syndb-34 synopsys

Yes, MOD_UNS_OP is there in my netlist.
Yet, I didn't get any warnings regarding this MOD_UNS_OP, other than the error mentioned before. I do have warnings, but for other things.
 

select_op synopsys library

omara007 said:
Yes, MOD_UNS_OP is there in my netlist.
Yet, I didn't get any warnings regarding this MOD_UNS_OP, other than the error mentioned before. I do have warnings, but for other things.

please check your link and other library when using DC compiler, the unmapped cell should not exist in the netlist.
 

select_op verilog

rsqf said:
omara007 said:
Yes, MOD_UNS_OP is there in my netlist.
Yet, I didn't get any warnings regarding this MOD_UNS_OP, other than the error mentioned before. I do have warnings, but for other things.

please check your link and other library when using DC compiler, the unmapped cell should not exist in the netlist.

And how can I do that ?
 

dc unmapped

omara007 said:
rsqf said:
omara007 said:
Yes, MOD_UNS_OP is there in my netlist.
Yet, I didn't get any warnings regarding this MOD_UNS_OP, other than the error mentioned before. I do have warnings, but for other things.

please check your link and other library when using DC compiler, the unmapped cell should not exist in the netlist.

And how can I do that ?

Tools will check and use the library which you set to mapped the instance , if there is no correct library, the tool will left the internal component in the netlist. If your lib set is correct, you can check which module called the MOD_UNS_OP, and how it works in the RTL code.
 

dc compiler write netlist

hello friend,

'MOD_UNS_OP' is a design ware component.

1. Besure that the 'MOD_UNS_OP' component is in your Synopsys synthetic library (standard.sldb) file.

2. Verify whether it needs a seperate license for tht.

3. Division and modulus operators have never been standard license free DesignWare modules. the division and modulus implementations are contained in either the dw_foundation.sldb file or the dw02.sldb file (from synopsys)

So, try the following script

set synthetic_library {}
set target_library "XXX"
set link_library [list * XXX]

read_file dw_foundation.sldb (OR)
read_file dw02.sldb
..
..
read_verilog test.v
..

try this let us know the results

Sunil Budumuru
asic-dft.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top