Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

counter 4 bit in vhdl

Status
Not open for further replies.

Deepak.akon

Newbie level 6
Joined
Aug 21, 2011
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,337
Can any help me to design a 4 bit counter in vhdl.I want that counter gives 0 for count 0 t0 7 and 1 for 8 to 15.please reply fast
 

Thaks for reply .If u have the vhdl code can u post it.
 

Code:
four_bit_counter : process ( clock ) is
begin
if rising_edge ( clock ) then	
counter <= counter + 1 ; -- counter is defined as an unsigned 4 bit vector
end if ;	
end process four_bit_counter ;
 
thanks if u have full code of 4 bit counter that gives 0 for count 0 t0 7 and 1 for 8 to 15 please post it.I don't know how to write code in vhdl.please post it
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top