Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Converting source code from at90s2313 to Attiny2313

Status
Not open for further replies.

baarbood

Newbie level 1
Joined
Sep 28, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
hello
i cant speak english very well
i build this project with tiny2313

Scrolling text display
**broken link removed**

,and this didn't work, i hope attiny2313 code need minor change to be replacing with at90s2313

anyboby can help me...please...please

thank a lot
 

You should read the ATMEL APPLICATION Note titled : AVR091.
Do a google search for it.

I don't know C very well but try this. Let me know if it works.

All you have to do is just follow the signal name changes and the register name changes as in the AVR091 application note. The changes are in the USART and some timer registers.

Then change the line in makefile to:
Code:
#MCU name
MCU = attiny2313

Good Luck
 

    baarbood

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top