create_clock -period ${CLOCK_PERIOD} [get_ports clk]
set_drive 0 {clk}
#set_propagated_clock [all_clocks]
#add around 5% uncertainty
set_clock_uncertainty 0.4 clk
set_dont_touch_network {clk}
create_generated_clock -name interp_gated_clk -source [get_ports {clk}] -divide_by 1 [get_pins interp_clk_gate_inst/gclk]
update_timing
set_clock_gating_check -setup 0.15 -hold 0.03 interp_gated_clk
update_timing
set_propagated_clock interp_gated_clk
set_false_path -from [get_ports rst]