Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Constraint on Multi-Clock with a same source

Status
Not open for further replies.

bigdog

Junior Member level 2
Joined
Jul 18, 2005
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,452
Hello guys,

In my design, we have multiple clocks with different frequency, but the clocks have the same source.
(For example, clock A and clock B both are divided from clock S, and Frequency(A) = n*Frequency(B), n is an integer)
There are some logic between the two clock domains, how can I constraint the logic from clock A to clock B, and the logic from clock B to clock A?

Regards
 

yep , you could set the generate-clock using the same source clock. Then the STA tools will calculate the setup/hold time in these clock domains.
 

Yes if I have the whole circuit, the key is that CLKA and CLKB are all input clock, so I can't do that ..
 

If you can confirm that the clkA and clkB ablosutely come from the same source, and according to the design requirement you do want to check the timing between these domain, you can set these clocks in the same clock group. Then tools will check timing in these domains.
 

Since the definition of the two clocks are separately, and the frequency are different too, so how to tell DC that the two clocks have a same source?
 

You could use this command: set_clock_group {}

It defines the group of clock . The detail information you can find in the DC ref doc.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top