Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Concatenate FSDB or VCD files

Status
Not open for further replies.

pgbackup

Newbie level 6
Joined
May 7, 2009
Messages
13
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,283
Activity points
1,607
Is it possible to concatenate FSDB files? I have two files A and B with same signals going from 0 - 100 units. I want to have a new file C with 0-100 with A and 101-200 with B. Is ther an easy way to do this with FSDB or VCD files? I've looked at fsdbmerge but that seems to just overlap the signals. Thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top