Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

component inside process

Status
Not open for further replies.

sarmad88

Junior Member level 2
Joined
Feb 12, 2013
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,474
hi

can i call component inside the process

as shown



process(clk)
begin

u1:anything port map (clk,inp1,inp2,out1,out2............);

end process;



if no how can i call port map inside process or under condition ???

thank you advanced;
 

Why would you want to do that?

A component instantiation is like soldering a chip on a circuit board. How would you design a circuit board that could solder more chips onto itself when certain condition are met? (its impossible)

A process describes digital logic behaviour (ie. how the chip works).
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top