p11
Banned
comparing numers in vhdl
the first code completes comparison in 2ns as shown in testbench waveform , i mean m1 gets 2 at 2ns ...
here just for simulation i made the clock time period 2ns.. i know 2 ns is never acheived in FPGA.. this is just for checking...
now , if i write the below code it needs much time as it has to wait for rising_edge (clk) for every iteration...as i want the process to go faster so i choose the first one ..........but when i try to synthesize 1st one it is not getting synthesized whereas the 2nd code is getting synthesized ... the error showing while synthesizing 1st code is ..........
i am also getting these warnings .....can i ignore this..
here the main aim of the code is to compare all the data of dat memory and to store the equal valued data in dattt....
Code:
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:21:54 11/13/2016
-- Design Name:
-- Module Name: t - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity t is
port(clk: in std_logic;
t1 : out integer ;
t2 : out integer ;
t3: out integer ;
outt : out std_logic_vector (8 downto 0)
);
end t;
architecture Behavioral of t is
type memory is array (0 to 9) of STD_LOGIC_VECTOR (8 DOWNTO 0);
signal dat : memory :=("001001001","001001001","010011001","010011001","011101001","001110001","010001010","001010010","001011010","010100010");
type memory1 is array (0 to 9) of STD_LOGIC_VECTOR (8 DOWNTO 0);
signal datt : memory1 :=("001001001","000000000","000000000","000000000","000000000","000000000","000000000","000000000","000000000","010100010");
type memory2 is array (0 to 9) of STD_LOGIC_VECTOR (8 DOWNTO 0);
signal dattt : memory2 :=("000000000","000000000","000000000","000000000","000000000","000000000","000000000","000000000","000000000","000000000");
signal j22 :integer :=0;
signal k22 :integer := 1;
signal m22 :integer := 0;
signal m : STD_LOGIC_VECTOR (8 DOWNTO 0);
signal m1 : integer := 0;
signal p1 : integer := 1;
--signal enterdata : integer := 0;
begin
process (clk)
begin
if (rising_edge (clk) ) then
p1 <= 0;
else
end if;
end process;
process (p1,j22,k22,m1)
begin
if (p1 = 0) then
if (m1 =0) then
if (j22 <10) then
if (k22 <10) then
if (dat(j22)(8 downto 0)= dat(k22)(8 downto 0)) then
dattt (m22 ) <= dat(j22) ;
m22 <= m22+1;
k22 <= k22+1;
else
k22 <= k22+1;
end if;
else
k22 <= j22+2;
j22 <= j22+1;
end if;
else
k22 <= 1;
j22 <= 0;
m1 <= 2;
end if ;
else
end if;
else
end if ;
end process ;
t1 <= m1;
outt <= dattt (1);
end behavioral;
Code:
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:21:54 11/13/2016
-- Design Name:
-- Module Name: t - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity t is
port(clk: in std_logic;
t1 : out integer ;
t2 : out integer ;
t3: out integer ;
outt : out std_logic_vector (8 downto 0)
);
end t;
architecture Behavioral of t is
type memory is array (0 to 9) of STD_LOGIC_VECTOR (8 DOWNTO 0);
signal dat : memory :=("001001001","001001001","010011001","010011001","011101001","001110001","010001010","001010010","001011010","010100010");
type memory1 is array (0 to 9) of STD_LOGIC_VECTOR (8 DOWNTO 0);
signal datt : memory1 :=("001001001","000000000","000000000","000000000","000000000","000000000","000000000","000000000","000000000","010100010");
type memory2 is array (0 to 9) of STD_LOGIC_VECTOR (8 DOWNTO 0);
signal dattt : memory2 :=("000000000","000000000","000000000","000000000","000000000","000000000","000000000","000000000","000000000","000000000");
signal j22 :integer :=0;
signal k22 :integer := 1;
signal m22 :integer := 0;
signal m : STD_LOGIC_VECTOR (8 DOWNTO 0);
signal m1 : integer := 0;
type state_type is (p0,p1,p2);
signal presentstate :state_type;
--signal enterdata : integer := 0;
begin
process (clk,j22,k22,m1)
begin
if (rising_edge (clk) ) then
if (m1 =0) then
if (j22 <10) then
if (k22 <10) then
if (dat(j22)(8 downto 0)= dat(k22)(8 downto 0)) then
dattt (m22 ) <= dat(j22) ;
m22 <= m22+1;
k22 <= k22+1;
else
k22 <= k22+1;
end if;
else
k22 <= j22+2;
j22 <= j22+1;
end if;
else
k22 <= 1;
j22 <= 0;
m1 <= 2;
end if ;
else
end if;
else
end if ;
end process ;
t1 <= m22;
outt <= dattt (1);
end behavioral;
here just for simulation i made the clock time period 2ns.. i know 2 ns is never acheived in FPGA.. this is just for checking...
now , if i write the below code it needs much time as it has to wait for rising_edge (clk) for every iteration...as i want the process to go faster so i choose the first one ..........but when i try to synthesize 1st one it is not getting synthesized whereas the 2nd code is getting synthesized ... the error showing while synthesizing 1st code is ..........
ERROR:Cpld:1248 - DRC error: too many Asynchronous Set/Resets (AP/AR) in design.
ERROR:Cpld:1064 - Design rules checking error. Fitting process stopped.
ERROR:Cpld:868 - Cannot fit the design into any of the specified devices with
i am also getting these warnings .....can i ignore this..
Code:
WARNING:Xst:819 - "C:/payel1/p1.vhd" line 79: The following signals are missing in the process sensitivity list:
WARNING:Xst:1306 - Output <t2> is never assigned.
WARNING:Xst:1306 - Output <t3> is never assigned.
WARNING:Xst:1780 - Signal <datt> is never used or assigned.
WARNING:Xst:1780 - Signal <m> is never used or assigned.
WARNING:Xst:646 - Signal <dattt<0>> is assigned but never used.
WARNING:Xst:646 - Signal <dattt<2:9>> is assigned but never used.
WARNING:Xst:1781 - Signal <dat> is used but never assigned. Tied to default value.
WARNING:Xst:737 - Found 32-bit latch for signal <m1>.
WARNING:Xst:737 - Found 32-bit latch for signal <j22>.
WARNING:Xst:737 - Found 32-bit latch for signal <k22>.
WARNING:Xst:737 - Found 9-bit latch for signal <dattt_1>.
WARNING:Xst:737 - Found 32-bit latch for signal <m22>.
WARNING:Xst - Property "use_dsp48" is not applicable for this technology.
WARNING:Xst - Property "use_dsp48" is not applicable for this technology.
WARNING:Xst:1293 - FF/Latch <p1_0> has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_31> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_30> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_29> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_28> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_27> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_26> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_25> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_24> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_23> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_22> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_21> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_20> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_19> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_18> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_17> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_16> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <dattt_1_2> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <dattt_1_8> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_0> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_2> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_3> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_4> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_5> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_6> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_7> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_8> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_9> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_10> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_11> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_12> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_13> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_14> (without init value) has a constant value of 0 in block <t>.
WARNING:Xst:1710 - FF/Latch <m1_15> (without init value) has a constant value of 0 in block <t>.
WARNING:Cpld:1006 - Design 't' has no inputs.
WARNING:Cpld:829 - Signal 'outt<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 't1<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 't1<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 't1<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 't1<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'm22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<28>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<27>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<26>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<25>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<24>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<23>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<22>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<21>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<20>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<19>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<18>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<17>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<16>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<15>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<14>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<13>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<12>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<11>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<9>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<8>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<6>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<7>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<4>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<5>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<10>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<29>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<30>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'k22<31>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<0>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<2>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'j22<3>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 't1<1>_MC.CLKF' has been minimized to 'GND'.
WARNING:Cpld:829 - Signal 'outt<0>_MC.CLKF' has been minimized to 'GND'.
here the main aim of the code is to compare all the data of dat memory and to store the equal valued data in dattt....
Last edited by a moderator: