Combinatorial blocking vs non-blocking

Status
Not open for further replies.

jelydonut

Full Member level 4
Joined
Dec 27, 2002
Messages
239
Helped
3
Reputation
6
Reaction score
0
Trophy points
1,296
Activity points
1,730
Just out of curiousity..

if you say make a state machine will a full sensitivity.. does it really matter if you make your assignments either blocking or non-blocking?

and if it doesn't.. what is your personal preference?

jelydonut
 

I think it does not matter.
But I used to use non-blocking assinmet.
 

for combinational logic usually blocking assignments are used...though non blocking assignments will work properly..
 

if you are doing a continious assignment then you should do blocking. If you are working in a clock domain then do non blocking.
 

It is preferable to use blocking assignments as they generate appropriate logic as compared to non-blocking assignments.as I found in synthesis book by J.Bhaskar. which explains the logic generation from blocking and non-blocking assignment.
although it doesn't matter when your application works well within constraints.
 

I prefer that combinational logic using continious assignment and sequence logic using non-blocking assignment.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…